Intel announces new Lunar Lake architecture : Improved performance, efficiency | New NPU, Xe2 GPU

Intel’s has unveiled its latest mobile architecture Lunar lake SoC, which will be powering its upcoming Intel Core Ultra processors. Set to debut in Q3 2024, Lunar Lake is the successor to 14th gen Meteor Lake mobile processors, promising improved efficiency, performance, and AI capabilities.

For the first time, Intel is leveraging TSMC’s cutting-edge 3nm process technology, stepping away from its traditional reliance on in-house fabrication. This strategic move underscores Intel’s urgency to stay competitive in terms of efficiency, which Intel processors had been lagging behind in compared to the likes of AMD and especially ARM powered chips by Apple and Qualcomm.

Let’s talk more about the architectural nuances of Lunar Lake, exploring its new P and E cores, advanced AI capabilities, and comprehensive power management enhancements.

Key Highlights

  • Fab Partnership: Intel collaborates with TSMC, utilizing their efficient 3nm node.
  • P-Core and E-Core Innovations: Introduction of Lion Cove P-Cores and Skymont E-Cores.
  • Advanced AI Integration: NPU 4 delivers up to 48 TOPS, surpassing Microsoft’s Copilot+ requirements.
  • Improved Graphics: New Xe2-LPG GPU enhances local AI model performance.
  • Enhanced Connectivity: Supports Thunderbolt 4, Thunderbolt Share, and Wi-Fi 7.

Overview of Intel’s Lunar Lake Architecture

Lunar Lake represents Intel’s strategic evolution in their mobile SoC line-up, focusing on enhancing power efficiency and optimizing performance. Also, nodding to the current market trends of devices with great battery backup and GenAI capabilities.

This new cutting-edge SoC triples the size of its AI accelerator and delivers up to 14% faster CPU performance at the same clockspeed, 50% more graphics performance, and up to 60% better battery life compared to Meteor Lake.

Rob Hallock, Intel technical marketer, confidently claims, “It’s x86 power like you’ve never seen before.”

The architecture introduces a combination of new Lion Cove P-Cores (Performance cores) and Skymont E-Cores (Efficiency cores), aimed at optimizing workload distribution and enhancing power management. Lunar Lake also features the new NPU 4 and Xe2-LPG GPU, providing substantial boosts in AI processing and graphical performance.

Specifications of the Lunar Lake architecture

FeatureLunar Lake
P-Core ArchitectureLion Cove
E-Core ArchitectureSkymont
GPU ArchitectureXe2-LPG
NPU ArchitectureNPU 4
Manufacturing ProcessesTSMC N3B + TSMC N6
MemoryUp to 32 GB LPDDR5X
ConnectivityThunderbolt 4, Thunderbolt Share, Wi-Fi 7
Release Date (OEM)Q3 2024

Lunar Lake: Designed By Intel, Built By TSMC

For the first time, Intel’s Lunar Lake tiles are fabricated entirely by TSMC, marking a departure from Intel’s historical reliance on their own foundry facilities. Intel will be utilizing TSMC’s N3B for Compute tile and N6 processes for SoC.

Then finally Intel will be packaging both Compute Tile and SoC (now the “Platform Controller”) on base tile using their own Foveros packaging technology, the base tile according to Intel provides high-speed/low-power routing between the tiles, and further connectivity to the rest of the chip and beyond.

Performance/Efficiency Improvements everywhere but No Hyperthreading | No Upgradeable RAM

Lunar Lake introduces a new core configuration, featuring up to four “Lion Cove” P-cores and four “Skymont” E-cores. These cores work in co-ordination to deliver exceptional performance, with improved efficiency. This dynamic combination allows for efficient scaling, providing single-threaded and multi-threaded performance as needed.

The Lion Cove P-cores boost Intel’s architectural IPC (Inter Process Communication), while the Skymont E-cores replace Meteor Lake’s Low Power Island Cresmont E-cores.

These E-cores are a hybrid, combining the efficiency gains of TSMC’s N3B node with double-digit IPC gains over previous generations. The E-cores are now capable of matching the performance of the previous LP-E cores while consuming just one-third of the power.

This combination ensures that Lunar Lake can handle intensive tasks and background activities with maximum performance and efficiency.

The Lion Cove P-cores offer a 14% performance increase clock for clock, and while specific clockspeed details are still under wraps, Hallock assures that overall performance is significantly improved compared to Meteor Lake. The Skymont E-cores also shine, delivering up to 20% more single-threaded performance, showcasing their capability to handle demanding tasks efficiently.

No Hyper threading

Intel has made the bold decision to axe Hyper-Threading in Lunar Lake. While this may seem counterintuitive, Hallock explains that the additional circuitry required for Hyper-Threading consumes more power and takes up valuable space. With the enhanced efficiency of the E-cores, Hyper-Threading becomes less necessary, allowing Intel to focus on delivering maximum performance without sacrificing power efficiency.

No Upgradeable RAM

Lunar Lake ditches separate memory sticks or chips, instead baking 16 or 32GB of LPDDR5X memory directly into the package. This innovative approach reduces power consumption by approximately 40%, providing a more efficient data transfer process. For users requiring more memory, Intel assures that the Arrow Lake architecture, coming later this year, will cater to their needs.

Lion Cove P-core (Performance cores)

Lion Cove P-Cores represent a significant overhaul, focusing on performance and efficiency improvements.

The Lion Cove P-cores are designed to boost Intel’s architectural IPC (Instructions per Cycle). They feature a significant overhaul of the traditional P-core cache hierarchy, employing a multi-tier data cache structure.

This includes a 48KB L0D cache with a 4-cycle load-to-use latency, a 192KB L1D cache, and an extended L2 cache of up to 3MB. This design ensures that a substantial amount of cache memory is readily accessible within a few cycles, improving performance and power efficiency.

Lion Cove also incorporates a new revised data translation lookaside buffer (DTLB) with increased depth, improving its hit rate. Additionally, Intel has added a third Address Generation Unit (AGU) and Store Unit pair, boosting store performance and balancing the load and store pipelines.

These improvements to the cache subsystem and memory management contribute to Lion Cove’s enhanced performance and efficiency.

Skymont E-Cores: Efficiency Redefined

The Skymont E-cores are engineered for a new level of efficiency and performance, serving as the heart of Lunar Lake’s architecture.

These cores feature a significantly more comprehensive machine architecture, starting with a 9-wide decode stage that includes 50% more decode clusters than previous generations. This enhances the core’s ability to decode and execute instructions simultaneously, improving overall performance.

The Skymont E-cores also boast a larger micro-op queue, now holding 96 entries, and utilize “Nanocode” to enable further microcode parallelism within each decode cluster. The out-of-order execution engine has been enhanced, with an increased allocation width of 8 and a doubled retirement width of 16, reducing latency and improving the core’s ability to handle multiple instructions.

Additionally, Skymont features a deeper reorder buffer, larger physical register files, and expanded reservation stations, allowing the core to handle a higher number of instructions in flight. This increases parallelism in instruction execution, resulting in improved multi-threaded performance while maintaining power efficiency.

Power Management: Thread Director and PMCs

Intel has made significant updates to its power management systems with Lunar Lake. The Intel Thread Director plays a pivotal role in optimizing power efficiency. It employs a heterogeneous scheduling policy, initially assigning tasks to a single E-core and expanding to additional E-cores or P-cores as required. This ensures that less intensive tasks are handled efficiently, reducing power consumption.

OS containment zones further enhance power efficiency by limiting tasks to specific cores, providing the performance needed while minimizing power usage. Integration with power management systems and the inclusion of a quad array of Power Management Controllers (PMCs) enable Lunar Lake to make context-aware adjustments. This dynamic adjustment capability ensures that the SoC operates efficiently, balancing power usage and performance seamlessly.

Lunar Lake’s scheduling strategy is particularly effective for power-sensitive applications. For example, Intel has demonstrated that video conferencing tasks can be handled within the efficiency core cluster, utilizing E-cores to maintain performance while reducing power consumption by up to 35%. This showcases the SoC’s ability to adapt to different workload demands, ensuring optimal power efficiency across the board.

AI and Machine Learning: NPU 4 Takes Center Stage

One of the key highlights of Lunar Lake is its focus on AI and machine learning capabilities. Intel has integrated their latest Neural Processing Unit, aptly named NPU 4, which delivers up to 48 TOPS (Trillion Operations per Second) of peak AI performance. This positions Lunar Lake as a strong competitor in the AI PC space, meeting the requirements of Microsoft’s Copilot+, which recently was also included in newly launched Muicrosoft surface Laptops as well.

NPU 4 offers a significant leap in power and efficiency compared to its predecessor, NPU 3. This is achieved through higher frequencies, improved power architectures, and an increased number of engines. The vector performance architecture has been enhanced, with a higher count of compute tiles and improved optimality in matrix computations, resulting in exceptional neural processing bandwidth.

Overall the NPU has been tripled in size, along with doubled memory bandwidth and increased clockspeed. There is also introduction of a new node in NPU 4. All this doubling the performance at the same power level as previous gen NPU 3, resulting in peak performance quadrupling. Easily surpassing the

Graphics: Xe2 and Arc Xe Core Enhance Visuals

Alongside Lunar Lake, Intel has unveiled its Xe2 graphics architecture for mobile devices, supported by the 2nd Generation Arc Xe Core. On paper, Xe2 offers an extraordinary bump in performance and efficiency, enhancing computational capabilities and ray tracing units.

Xe2 provides flexible and higher-quality display outputs, including an eDP (embedded DisplayPort) port that supports high-resolution, premium displays. It also includes Intel’s eDisplayPort 1.5 with panel replay, adaptive sync, and selective update mechanisms, reducing power consumption by refreshing only the parts of the screen that change.

The Xe2 architecture extends display stream compression to 3:1 visually losslessly, maintaining high resolution without sacrificing visual quality.

Additionally, Intel’s adoption of the VVC codec offers a 10% reduction in file size compared to AV1, ensuring lower bitrates for streaming and improving overall multimedia experiences.

The Xe2-LPG GPU ensures high-quality graphics and efficient media processing, making it a competitive solution for mobile graphics.

Connectivity: Thunderbolt and Wi-Fi 7 Enhancements

Lunar Lake also brings notable improvements in connectivity options compared to Meteor Lake. It includes native support for Thunderbolt 4, the latest Thunderbolt standard, offering enhanced connectivity and bandwidth. With up to three Thunderbolt ports allowed per laptop, this feature provides users with flexibility and high-speed data transfer capabilities.

Thunderbolt Share, a new technology introduced with Lunar Lake, enables multiple PCs to seamlessly share screens, peripherals, and storage across systems. It facilitates collaboration and improves workflow efficiency, allowing users to sync folders and share files at high speeds.

Additionally, Lunar Lake integrates Wi-Fi 7 wireless connectivity, a feature omitted from Meteor Lake. Wi-Fi 7 offers multi-link operation, enhancing wireless signal integrity, reliability, and throughput while reducing latency. This ensures smoother performance and better load balancing, even for demanding applications. Users will benefit from stable and effective wireless connections, especially when dealing with bandwidth-intensive tasks.

Lunar Lake: A Promising Step Forward

Intel’s Lunar Lake certainly seems to be a significant mobile CPU architectural uplift, offering improvements in task-specific processing capabilities and AI performance. The integration of Lion Cove P-cores and Skymont E-cores, along with NPU 4, positions Lunar Lake as a competitive choice for ultra-thin and light notebooks.

While Intel has not provided comprehensive performance figures or power data, the specifications and features unveiled during the Tech Tour event are compelling. Lunar Lake appears to be a step forward in Intel’s disaggregated mobile architecture, showcasing their focus on innovative engineering and ambition in the mobile space.

With a planned launch in Q3 2024, we eagerly anticipate how Lunar Lake will perform in the market and how it stacks up against offerings from Qualcomm and AMD. Intel’s latest mobile architecture certainly has the potential to be a game-changer, delivering efficient performance and advanced AI capabilities to a wide range of users.

Conclusion

Intel’s Lunar Lake represents a comprehensive upgrade over Meteor Lake, with significant advancements in performance, efficiency, and connectivity. Leveraging TSMC’s 3nm process, the new Lion Cove P-Cores, Skymont E-Cores, NPU 4, and Xe2-LPG GPU, Lunar Lake is poised to set new standards in the mobile processor market. As Intel continues to innovate and collaborate with industry leaders, Lunar Lake’s success will be a crucial indicator of its ability to compete with Apple’s M-series and Qualcomm’s Snapdragon X series processors. The future looks promising for Intel, as Lunar Lake gears up for its Q3 2024 launch, aiming to deliver unparalleled performance and efficiency in ultra-thin and light notebooks.

Share this

Leave a Reply